Kodi ubwino wa Kudzera mu Glass Via(TGV) ndi Kudzera kwa Silicon Via, TSV (TSV) pa TGV ndi chiyani?

p1

Ubwino waKudzera mu Glass Via (TGV)ndi Njira za Silicon Via(TSV) pa TGV makamaka:

(1) makhalidwe abwino kwambiri amagetsi othamanga kwambiri. Galasi yagalasi ndi insulator, mphamvu ya dielectric imakhala pafupifupi 1/3 ya zinthu za silicon, ndipo chotayika ndi 2-3 magnitude otsika kuposa a silicon, omwe amapangitsa kutayika kwa gawo lapansi ndi zotsatira za parasitic kuchepetsedwa kwambiri. ndikuwonetsetsa kukhulupirika kwa chizindikiro chotumizidwa;

(2)kukula kwakukulu ndi gawo lapansi lagalasi lowonda kwambirin'zosavuta kupeza. Corning, Asahi ndi SCHOTT ndi opanga magalasi ena amatha kupereka kukula kwakukulu (> 2m × 2m) ndi galasi la galasi lochepa kwambiri (<50µm) ndi zipangizo zamagalasi zowonda kwambiri.

3) Mtengo wotsika. Pindulani ndi mwayi wosavuta wagalasi yayikulu kwambiri yopyapyala, ndipo sikutanthauza kuyika kwa zigawo zoteteza, mtengo wopanga magalasi adaputala mbale ndi pafupifupi 1/8 ya mbale ya silicon ofotokoza;

4) Njira yosavuta. Palibe chifukwa choyika chotchingira chotchinga pamwamba pa gawo lapansi ndi khoma lamkati la TGV, ndipo palibe kupatulira komwe kumafunikira mu mbale ya adapter yowonda kwambiri;

(5) Kukhazikika kwamphamvu kwamakina. Ngakhale makulidwe a mbale ya adapter ndi osachepera 100µm, tsamba lankhondo likadali laling'ono;

6 , kutentha, makina opangira, mu RF chip, masensa apamwamba a MEMS, kusakanikirana kwadongosolo lapamwamba kwambiri ndi madera ena omwe ali ndi ubwino wapadera, ndi m'badwo wotsatira wa 5G, 6G high-frequency chip 3D Ndi chimodzi mwa zosankha zoyamba za Kupaka kwa 3D kwa m'badwo wotsatira wa 5G ndi 6G tchipisi tapamwamba kwambiri.

Kapangidwe ka TGV makamaka kumaphatikizapo sandblasting, ultrasonic kubowola, kunyowa etching, deep reactive ion etching, photosensitive etching, laser etching, laser-induced deep etching, ndi kuyang'ana kutulutsa dzenje.

p2

Kafukufuku waposachedwa ndi zotsatira zachitukuko zikuwonetsa kuti ukadaulo ukhoza kukonzekera kudzera m'mabowo ndi mabowo akhungu a 5: 1 okhala ndi kuzama mpaka m'lifupi chiŵerengero cha 20: 1, ndikukhala ndi morphology yabwino. Laser induced deep etching, yomwe imapangitsa kuti pakhale kuuma pang'ono pamwamba, ndiyo njira yophunzirira kwambiri pakadali pano. Monga tawonera m'chithunzi 1, pali ming'alu yowonekera mozungulira pobowola laser wamba, pomwe makoma ozungulira ndi am'mbali a etching yakuya yopangidwa ndi laser amakhala oyera komanso osalala.

p3The processing ndondomeko yaTGVcholumikizira chikuwonetsedwa pa chithunzi 2. Chiwembu chonsecho ndikuboola mabowo pagawo lagalasi kaye, kenaka kuyika zotchinga zosanjikiza ndi mbewu pakhoma lakumbali ndi pamwamba. Chotchinga chosanjikiza chimalepheretsa kufalikira kwa Cu ku gawo lapansi lagalasi, ndikuwonjezera kumamatira kwa awiriwo, ndithudi, mu maphunziro ena adapezanso kuti chotchinga sichiyenera. Kenako Cu imayikidwa ndi electroplating, kenako imachotsedwa, ndipo Cu layer imachotsedwa ndi CMP. Pomaliza, RDL rewiring wosanjikiza amakonzedwa ndi PVD ❖ kuyanika lithography, ndi passivation wosanjikiza amapangidwa pambuyo guluu kuchotsedwa.

p4

(a) Kukonzekera kwa wafer, (b) mapangidwe a TGV, (c) electroplating ya mbali ziwiri - kuyika kwa mkuwa, (d) kupukuta ndi CMP mankhwala-mechanical polishing, kuchotsa pamwamba pa mkuwa wosanjikiza, (e) PVD zokutira ndi lithography , (f) kuyika kwa RDL rewiring layer, (g) degluing ndi Cu/Ti etching, (h) kupanga passivation layer.

Powombetsa mkota,galasi kudzera m'bowo (TGV)Chiyembekezo chogwiritsa ntchito ndi chotakata, ndipo msika wapakhomo pano ukukwera, kuchokera ku zida kupita ku kapangidwe kazinthu ndi kafukufuku komanso kukula kwachitukuko ndikwambiri kuposa kuchuluka kwapadziko lonse lapansi.

Ngati pali kuphwanya, kukhudzana kufufuta


Nthawi yotumiza: Jul-16-2024